señales sentencia responde programacion los hacer eventos ejemplos cuales como bloqueante bloque always verilog

sentencia - verilog bloqueante



¿Cuál es la diferencia entre $ stop y $ final en Verilog? (7)

Estoy usando un simulador de GUI, y ambos parecen hacer lo mismo.


$ stop - Pausa la simulación, por lo que puede reanudarla usando el comando fg en linux. En este caso, la licencia no se liberará y el proceso tampoco se eliminará, lo que consumirá memoria.

$ finalización: la simulación ha finalizado, por lo que se liberará la licencia y se eliminará el proceso.


De acuerdo con el Estándar IEEE para Verilog (1364-2005, Sección 17.4, "Tareas del sistema de control de simulación"), $stop debe suspender la simulación, y $finish debe hacer que el simulador salga y vuelva a pasar el control al sistema operativo host. Por supuesto, diferentes simuladores pueden implementar la especificación de maneras sutilmente diferentes, y no todos los simuladores son 100% compatibles con la especificación.

La documentación de su simulador podría proporcionar una descripción más detallada de su comportamiento, especialmente con respecto a los modos GUI vs. línea de comando.


Este link explica en cierta medida.

$stop - When Verilog encounters a $stop, it pauses as if you sent a Ctrl-C. $finish - Verilog exits as if you sent Ctrl-D when it encounters $finish.

Tomado de la página 15 de esta indtroduction PDF.

aclamaciones


Estoy usando la GUI de Modelsim. Cuando tengo una instrucción de $ stop en la simulación, es como si presionara el botón de detener en la parte superior de la GUI durante una ejecución de simulación. Sin embargo, si tengo una declaración de $ finalización, la GUI mostrará un mensaje de salida preguntándome si deseo salir completamente de modelsim.


Un enlace de referencia rápida :

$finish; Finishes a simulation and exits the simulation process. $stop; Halts a simulation and enters an interactive debug mode.


$finish sale de la simulación y le devuelve el control al sistema operativo.

$stop suspende la simulación y pone un simulador en modo interactivo.


$stop : terminación no deseada de la simulación. Todas las actividades del sistema están suspendidas. $finish : Utilizado para relevar al compilador.

Una buena analogía sería $finish es como apagar su computadora y $stop es como desconectar abruptamente su enchufe.