tag picard para musicbrainz mac kid3 easytag linux vhdl xilinx intel-fpga

linux - para - musicbrainz picard debian



¿Programando VHDL en Linux? (7)

¿Alguien conoce un buen entorno para programar VHDL y simularlo (no importa Xilinx o Altera) usando Linux?


Ahora también hay QUCS ( http://qucs.sourceforge.net/ ). Es de código abierto y basado en FreeHDL.

Puede utilizarse para diseñar y simular circuitos utilizando una interfaz gráfica. Y también puede simular VHDL. Aunque para esto también debe diseñar los componentes del circuito en el área gráfica.

Este video lo muestra claramente: https://www.youtube.com/watch?v=-RrQlzLsf18

Estoy usando linux ppa en Debian Jessie y funciona bien.


Como lo menciona Arpan (casi) todos los simuladores VHDL son compatibles con Linux, pero generalmente son muy caros. Tu mejor oportunidad sería usar uno de los siguientes:

  • Edición web de Altera DS (se acaba de agregar soporte para Linux) que viene con una versión gratuita de ModelSim.
  • Symphony EDA Sonata 3.1 está disponible como una versión gratuita con soporte limitado.
  • Xilinx ISE que viene con el simulador propio de Xilinx: ISim.

Estás atascado con cualquiera de las herramientas de los proveedores, que son, en el mejor de los casos, en Linux (aunque mi experiencia con las utilidades de Alteras es algo mejor que con ISE).

Sin embargo, si todo lo que desea hacer es ejecutar su banco de pruebas, no sintetizar nada en realidad, ghdl será de utilidad.


GHDL es un simulador agradable para VHDL, e incluso funciona con algunas bibliotecas de terceros (por ejemplo, Xilinx UNISIMS).

Si lo combinas con gtkwave, deberías tener un simulador VHDL y un visor de formas de onda en pleno funcionamiento.

GHDL también admite la escritura en formas de onda .GHW, que son fantásticas para pequeñas simulaciones, ya que incluye (a menudo) todas las señales en su diseño.

Los he usado con simulación RTL estándar, y también para simulación posterior a la síntesis con UNISIM de Xilinx.

Ambos deberían estar disponibles en su repositorio de distro de Linux. Si no, puedes buscarlos en:

GHDL: ghdl.free.fr

GtkWave: http://gtkwave.sourceforge.net/


Para codificar vhdl, Emacs con vhdl-mode es una necesidad. Puede utilizar funciones de edición avanzadas, ver la estructura de diseños, administrar arquitecturas y configuraciones. Se puede configurar para múltiples simuladores.

Yo uso el modelsim de la edición web de Altera Quartus. Tenga cuidado si utiliza un Linux de 64 bits, esta versión de modelsim es solo de 32 bits.


Si prefieres las herramientas de código abierto, visita http://www.cliftonlabs.com/vhdl/savant.html - vhdl no tiene demasiadas opciones en el espacio de FOSS actualmente. Si está optando por herramientas de proveedores, todos los proveedores harían la versión de Linux de sus simuladores. Modelsim es un favorito personal debido a las características fáciles de depurar que tiene.

Para un entorno de codificación, generalmente uso VIM con los verificadores de sintaxis vhdl activados. Aparentemente, Sigasi tiene un IDE comercial con elementos de lujo como la finalización automática de código, verificación de sintaxis en vuelo, etc.

Háganos saber si tiene más preguntas.

Arpan


Solo para agregar una actualización a esto: Xilinx Vivado ahora ha sido lanzado con soporte de Linux para ubuntu / debian y (creo) redhat / centos.

El software es gratuito para una gama de dispositivos más pequeños y núcleos de IP más comunes e incluye un simulador en su mayoría decente que está integrado en las herramientas y también es gratuito.

Tiene una curva de aprendizaje bastante pronunciada, al igual que la mayoría de estas herramientas, pero en lo que respecta al software en esta industria, realmente han hecho un buen trabajo con él.